package s7
Ordering
- Alphabetic
Visibility
- Public
- All
Type Members
- case class BSCANE2(userId: Int) extends BlackBox with Product with Serializable
- case class BUFG() extends BlackBox with Product with Serializable
- case class BUFGCE() extends BlackBox with Product with Serializable
- case class BUFIO() extends BlackBox with Product with Serializable
- case class FDRE() extends BlackBox with Product with Serializable
- case class IBUF() extends BlackBox with Product with Serializable
- case class IBUFG() extends BlackBox with Product with Serializable
- case class IDELAYCTRL() extends BlackBox with Product with Serializable
- case class IDELAYE2(HIGH_PERFORMANCE_MODE: Boolean = false, IDELAY_TYPE: String = "FIXED", IDELAY_VALUE: Int = 0, PIPE_SEL: Boolean = false, REFCLK_FREQUENCY: Double = 200.0, SIGNAL_PATTERN: String = "DATA") extends BlackBox with Product with Serializable
- case class IOBUF() extends BlackBox with Product with Serializable
- case class IOBUFDS() extends BlackBox with Product with Serializable
- case class ISERDESE2(DATA_RATE: String = "DDR", DATA_WIDTH: Int = 4, INTERFACE_TYPE: String = "MEMORY", IOBDELAY: String = "NONE") extends BlackBox with Product with Serializable
- case class MMCME2_BASE(CLKIN1_PERIOD: Double, BANDWIDTH: String = "OPTIMIZED", CLKFBOUT_MULT_F: Double = 5.0, CLKFBOUT_PHASE: Double = 0.0, CLKOUT0_DIVIDE_F: Double = 1.0, CLKOUT1_DIVIDE: Double = 1, CLKOUT2_DIVIDE: Double = 1, CLKOUT3_DIVIDE: Double = 1, CLKOUT4_DIVIDE: Double = 1, CLKOUT5_DIVIDE: Double = 1, CLKOUT0_DUTY_CYCLE: Double = 0.5, CLKOUT1_DUTY_CYCLE: Double = 0.5, CLKOUT2_DUTY_CYCLE: Double = 0.5, CLKOUT3_DUTY_CYCLE: Double = 0.5, CLKOUT4_DUTY_CYCLE: Double = 0.5, CLKOUT5_DUTY_CYCLE: Double = 0.5, CLKOUT0_PHASE: Double = 0.0, CLKOUT1_PHASE: Double = 0.0, CLKOUT2_PHASE: Double = 0.0, CLKOUT3_PHASE: Double = 0.0, CLKOUT4_PHASE: Double = 0.0, CLKOUT5_PHASE: Double = 0.0, CLKOUT4_CASCADE: String = "FALSE", DIVCLK_DIVIDE: Double = 1.0, REF_JITTER1: Double = 0.0, STARTUP_WAIT: String = "FALSE") extends BlackBox with Product with Serializable
- case class Mmcme2Ctrl(p: BmbParameter) extends Component with Product with Serializable
- class Mmcme2CtrlGenerator extends Area
- case class Mmcme2Dbus() extends Bundle with IMasterSlave with Product with Serializable
- case class OBUFDS() extends BlackBox with Product with Serializable
- case class ODELAYE2(ODELAY_TYPE: String = "FIXED", ODELAY_VALUE: Int = 0, HIGH_PERFORMANCE_MODE: Boolean = false, SIGNAL_PATTERN: String = "DATA", REFCLK_FREQUENCY: Double = 200, CINVCTRL_SEL: Boolean = false, PIPE_SEL: Boolean = false, DELAY_SRC: String = "ODATAIN") extends BlackBox with Product with Serializable
- case class OSERDESE2(DATA_RATE_OQ: String = "DDR", DATA_RATE_TQ: String = "DDR", DATA_WIDTH: Int = 4, SERDES_MODE: String = "MASTER", TRISTATE_WIDTH: Int = 4) extends BlackBox with Product with Serializable
- case class PLLE2_BASE(bandwidth: String = "OPTIMIZED", startUpWait: String = "FALSE", clkIn1_Period: Double = 0.0, clkOut_Mult: Int = 2, clkOut0_Divide: Int = 1, clkOut0_Phase: Double = 0.0, clkOut0_DutyCycle: Double = 0.5, clkOut1_Divide: Int = 1, clkOut1_Phase: Double = 0.0, clkOut1_DutyCycle: Double = 0.5, clkOut2_Divide: Int = 1, clkOut2_Phase: Double = 0.0, clkOut2_DutyCycle: Double = 0.5, clkOut3_Divide: Int = 1, clkOut3_Phase: Double = 0.0, clkOut3_DutyCycle: Double = 0.5, clkOut4_Divide: Int = 1, clkOut4_Phase: Double = 0.0, clkOut4_DutyCycle: Double = 0.5, clkOut5_Divide: Int = 1, clkOut5_Phase: Double = 0.0, clkOut5_DutyCycle: Double = 0.5) extends BlackBox with Product with Serializable
- case class STARTUPE2() extends BlackBox with Product with Serializable