通过Mill构建(输出)

SpinalHDL 本身可以用 Mill 构建。这是一个 Sbt 构建工具的替代品,可以在 Introduction_to_Mill 中找到。它可以编译/测试/发布本地现有模块。通过 mill 构建可以比 Sbt 快得多,这在调试时很有用。

编译SpinalHDL库

mill __.compile
sbt compile # equivalent alternatives

运行所有测试套件

mill __.test
sbt test # equivalent alternatives

运行指定的测试套件

mill tester.test.testOnly spinal.xxxxx.xxxxx
sbt "tester/testOnly spinal.xxxxx.xxxxx" # equivalent alternatives

运行指定程序(App)

mill tester.runMain spinal.xxxxx.xxxxx
sbt "tester/runMain spinal.xxxxx.xxxxx" # equivalent alternatives

本地发布

Mill 还可以将库作为 dev 版本发布到本地 ivy2 存储库。

mill __.publishLocal
sbt publishLocal # equivalent alternatives