示例

示例分为三类:

  • 可用于熟悉SpinalHDL基础知识的简单示例。

  • 使用传统方法设计组件的中级示例。

  • 通过使用面向对象编程、函数式编程和元硬件描述,比传统HDL更进一步的高级示例。

它们都可以在相应部分下的侧边栏中访问。

重要

SpinalHDL实践教程包含许多实验及其解决方案。请参阅 此处

备注

您还可以在 这里 找到使用SpinalHDL的仓库列表

入门

所有示例均假设您的scala文件顶部有以下导入:

import spinal.core._
import spinal.lib._

要为给定组件生成 VHDL,您可以将以下内容放在scala文件的底部:

object MyMainObject {
  def main(args: Array[String]) {
    SpinalVhdl(new TheComponentThatIWantToGenerate(constructionArguments))   //Or SpinalVerilog
  }
}