Packages

c

spinal.core

SpinalEnumCraft

class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with BaseTypePrimitives[SpinalEnumCraft[T]] with DataPrimitives[SpinalEnumCraft[T]]

Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. SpinalEnumCraft
  2. DataPrimitives
  3. BaseTypePrimitives
  4. InferableEnumEncodingImpl
  5. InferableEnumEncoding
  6. EnumEncoded
  7. BaseType
  8. Expression
  9. StatementDoubleLinkedContainer
  10. DoubleLinkedContainer
  11. DeclarationStatement
  12. LeafStatement
  13. Statement
  14. BaseNode
  15. ExpressionContainer
  16. Data
  17. InComponent
  18. OverridedEqualsHashCode
  19. SpinalTagReady
  20. Assignable
  21. NameableByComponent
  22. Nameable
  23. OwnableRef
  24. ContextUser
  25. ScalaLocated
  26. GlobalDataUser
  27. AnyRef
  28. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Instance Constructors

  1. new SpinalEnumCraft(spinalEnum: SpinalEnum)

Type Members

  1. abstract type RefOwnerType
    Definition Classes
    OwnableRef

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. def ##(right: Data): Bits

    Concatenation between two data

    Concatenation between two data

    Definition Classes
    Data
  3. final def ##(): Int
    Definition Classes
    AnyRef → Any
  4. def #*(count: Int): Bits
    Definition Classes
    Data
  5. def :=(that: SpinalEnumElement[T]): Unit
  6. def :=(that: SpinalEnumCraft[T])(implicit loc: Location): Unit

    Assign a data to this

    Assign a data to this

    Definition Classes
    DataPrimitives
  7. def <>(that: SpinalEnumCraft[T])(implicit loc: Location): Unit

    Auto connection between two data

    Auto connection between two data

    Definition Classes
    DataPrimitives
  8. def =/=(that: SpinalEnumElement[T]): Bool
  9. def =/=(that: SpinalEnumCraft[T]): Bool
    Definition Classes
    DataPrimitives
  10. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  11. def ===(that: SpinalEnumElement[T]): Bool
  12. def ===(that: SpinalEnumCraft[T]): Bool

    Comparison between two data

    Comparison between two data

    Definition Classes
    DataPrimitives
  13. def \(that: SpinalEnumCraft[T]): SpinalEnumCraft[T]

    Use as \= to have the same behavioral as VHDL variable

    Use as \= to have the same behavioral as VHDL variable

    Definition Classes
    DataPrimitives
  14. val _spinalTags: LinkedHashSet[SpinalTag]
    Definition Classes
    SpinalTagReady
  15. def addAttribute(attribute: Attribute): SpinalEnumCraft.this.type
    Definition Classes
    BaseTypeDataSpinalTagReady
  16. def addAttribute(name: String, value: Int): SpinalEnumCraft.this.type
    Definition Classes
    SpinalTagReady
  17. def addAttribute(name: String, value: String): SpinalEnumCraft.this.type
    Definition Classes
    SpinalTagReady
  18. def addAttribute(name: String): SpinalEnumCraft.this.type
    Definition Classes
    SpinalTagReady
  19. def addTag[T <: SpinalTag](spinalTag: T): SpinalEnumCraft.this.type
    Definition Classes
    SpinalTagReady
  20. def addTags[T <: SpinalTag](tags: Iterable[T]): SpinalEnumCraft.this.type
    Definition Classes
    SpinalTagReady
  21. val algoIncrementale: Int
    Definition Classes
    BaseNode
  22. val algoInt: Int
    Definition Classes
    BaseNode
  23. def allowDirectionLessIo(): SpinalEnumCraft.this.type

    Allow a Data of an io Bundle to be directionless

    Allow a Data of an io Bundle to be directionless

    See https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Design%20errors/iobundle.html

    Definition Classes
    Data
  24. def allowOverride(): SpinalEnumCraft.this.type

    Allow a Data to be overriden

    Allow a Data to be overriden

    See https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Design%20errors/assignment_overlap.html

    Definition Classes
    Data
  25. def allowPartialyAssigned(): SpinalEnumCraft.this.type

    Allow a register to be partially assigned

    Allow a register to be partially assigned

    Definition Classes
    Data
  26. def allowPruning(): SpinalEnumCraft.this.type
    Definition Classes
    Data
  27. def allowSimplifyIt(): SpinalEnumCraft.this.type
    Definition Classes
    BaseTypeData
  28. def allowUnsetRegToAvoidLatch(): SpinalEnumCraft.this.type

    Allow a register to have only an init (no assignments)

    Allow a register to have only an init (no assignments)

    See https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Design%20errors/unassigned_register.html#register-with-only-init

    Definition Classes
    Data
  29. def as[T <: Data](dataType: HardType[T]): T
    Definition Classes
    Data
  30. def asBits: Bits

    Cast data to Bits

    Cast data to Bits

    Definition Classes
    SpinalEnumCraftData
  31. def asData: Data
    Definition Classes
    Data
  32. def asInOut(): SpinalEnumCraft.this.type

    set a data as inout

    set a data as inout

    Definition Classes
    BaseTypeData
  33. def asInput(): SpinalEnumCraft.this.type

    Set a data as input

    Set a data as input

    Definition Classes
    BaseTypeData
  34. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  35. def asOutput(): SpinalEnumCraft.this.type

    Set a data as output

    Set a data as output

    Definition Classes
    BaseTypeData
  36. def assignDontCare(): SpinalEnumCraft.this.type
    Definition Classes
    SpinalEnumCraftData
  37. def assignDontCareToUnasigned(): SpinalEnumCraft.this.type
    Definition Classes
    Data
  38. def assignFormalRandom(kind: RandomExpKind): Unit
    Definition Classes
    SpinalEnumCraftData
  39. final def assignFrom(that: AnyRef, target: AnyRef = this)(implicit loc: Location): Unit
    Definition Classes
    Data
  40. def assignFromBits(bits: Bits, hi: Int, lo: Int): Unit
    Definition Classes
    SpinalEnumCraftData
  41. def assignFromBits(bits: Bits): Unit
    Definition Classes
    SpinalEnumCraftData
  42. def assignFromBits(bits: Bits, offset: Int, bitCount: BitCount): Unit
    Definition Classes
    Data
  43. def assignFromImpl(that: AnyRef, target: AnyRef, kind: AnyRef)(implicit loc: Location): Unit
    Attributes
    protected
    Definition Classes
    SpinalEnumCraftBaseTypeAssignable
  44. def bootInferration(): Unit
  45. def cldCount: Int
    Definition Classes
    DoubleLinkedContainer
  46. def clearAll(): SpinalEnumCraft.this.type
    Definition Classes
    Data
  47. var clockDomain: ClockDomain
    Definition Classes
    BaseType
  48. def clone(): SpinalEnumCraft.this.type
    Definition Classes
    SpinalEnumCraftBaseTypeData → AnyRef
  49. def component: Component
    Definition Classes
    ContextUser
  50. final def compositAssignFrom(that: AnyRef, target: AnyRef, kind: AnyRef)(implicit loc: Location): Unit
    Definition Classes
    Assignable
  51. val compositeAssign: Assignable
    Definition Classes
    Assignable
  52. def copyDirectionOf(that: SpinalEnumCraft[T]): Unit
    Definition Classes
    DataPrimitives
  53. def copyDirectionOfImpl(that: Data): SpinalEnumCraft.this.type
    Definition Classes
    BaseTypeData
  54. def copyEncodingConfig(that: InferableEnumEncodingImpl): Unit
    Definition Classes
    InferableEnumEncodingImpl
  55. def default(that: ⇒ SpinalEnumCraft[T]): SpinalEnumCraft[T]

    Set a default value to a data

    Set a default value to a data

    Definition Classes
    DataPrimitives
  56. def dirString(): String
    Definition Classes
    Data
  57. def dlcAppend(that: AssignmentStatement): SpinalEnumCraft.this.type
    Definition Classes
    DoubleLinkedContainer
  58. def dlcForeach[T >: AssignmentStatement](func: (T) ⇒ Unit): Unit
    Definition Classes
    DoubleLinkedContainer
  59. def dlcHasOnlyOne: Boolean
    Definition Classes
    DoubleLinkedContainer
  60. val dlcHead: AssignmentStatement
    Definition Classes
    DoubleLinkedContainer
  61. def dlcIsEmpty: Boolean
    Definition Classes
    DoubleLinkedContainer
  62. val dlcLast: AssignmentStatement
    Definition Classes
    DoubleLinkedContainer
  63. def dlcPrepend(that: AssignmentStatement): SpinalEnumCraft.this.type
    Definition Classes
    DoubleLinkedContainer
  64. def dontSimplifyIt(): SpinalEnumCraft.this.type
    Definition Classes
    BaseTypeData
  65. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  66. def equals(obj: Any): Boolean
    Definition Classes
    OverridedEqualsHashCode → AnyRef → Any
  67. def existsTag(cond: (SpinalTag) ⇒ Boolean): Boolean
    Definition Classes
    SpinalTagReady
  68. def filterTag(cond: (SpinalTag) ⇒ Boolean): Iterable[SpinalTag]
    Definition Classes
    SpinalTagReady
  69. def findTag(cond: (SpinalTag) ⇒ Boolean): Option[SpinalTag]
    Definition Classes
    SpinalTagReady
  70. def fixEncoding(e: SpinalEnumEncoding): SpinalEnumCraft.this.type
    Definition Classes
    InferableEnumEncodingImpl
  71. def flatten: Seq[BaseType]
    Definition Classes
    BaseTypeData
  72. def flattenForeach(body: (BaseType) ⇒ Unit): Unit
    Definition Classes
    BaseTypeData
  73. def flattenLocalName: Seq[String]
    Definition Classes
    BaseTypeData
  74. def flip(): SpinalEnumCraft.this.type

    flip the direction of the data

    flip the direction of the data

    Definition Classes
    Data
  75. def foreachClockDomain(func: (ClockDomain) ⇒ Unit): Unit
    Definition Classes
    BaseTypeStatement
  76. def foreachDrivingExpression(func: (Expression) ⇒ Unit): Unit
    Definition Classes
    ExpressionContainer
  77. def foreachExpression(func: (Expression) ⇒ Unit): Unit
  78. def foreachReflectableNameables(doThat: (Any) ⇒ Unit): Unit
    Definition Classes
    Nameable
  79. def foreachStatements(func: (AssignmentStatement) ⇒ Unit): Unit
  80. def foreachTag(body: (SpinalTag) ⇒ Unit): Unit
    Definition Classes
    SpinalTagReady
  81. def freeze(): SpinalEnumCraft.this.type
    Definition Classes
    BaseTypeData
  82. def getAheadValue(): SpinalEnumCraft.this.type
    Definition Classes
    BaseTypeData
  83. def getBitsWidth: Int

    Return the width of the data

    Return the width of the data

    Definition Classes
    SpinalEnumCraftData
  84. final def getClass(): Class[_]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  85. def getComponent(): Component
    Definition Classes
    DataInComponentNameableByComponent
  86. def getComponents(): Seq[Component]

    Get current component with all parents

    Get current component with all parents

    Definition Classes
    InComponent
  87. def getDefinition: SpinalEnum
    Definition Classes
    SpinalEnumCraftEnumEncoded
  88. def getDirection: IODirection
    Definition Classes
    Data
  89. def getDisplayName(): String
    Definition Classes
    Nameable
  90. def getDrivingReg(reportError: Boolean = true): SpinalEnumCraft.this.type
    Definition Classes
    BaseType
  91. def getEncoding: SpinalEnumEncoding
    Definition Classes
    InferableEnumEncodingImplEnumEncoded
  92. def getInstanceCounter: Int
    Definition Classes
    ContextUser
  93. def getMode: Byte
    Attributes
    protected
    Definition Classes
    Nameable
  94. def getMuxType[T <: Data](list: TraversableOnce[T]): HardType[T]
    Definition Classes
    Data
  95. def getName(default: String): String
    Definition Classes
    NameableByComponentNameable
  96. def getName(): String
    Definition Classes
    NameableByComponentNameable
  97. def getPartialName(): String
    Definition Classes
    Nameable
  98. def getPath(from: Component, to: Component): Seq[Component]
    Definition Classes
    NameableByComponent
  99. def getRealSource: Any
    Definition Classes
    Assignable
  100. def getRealSourceNoRec: Any
    Definition Classes
    DataAssignable
  101. def getRefOwnersChain(): List[Any]
    Definition Classes
    OwnableRef
  102. def getRootParent: Data
    Definition Classes
    Data
  103. def getRtlPath(separator: String = "/"): String
    Definition Classes
    Data
  104. def getScalaLocationLong: String
    Definition Classes
    ScalaLocated
  105. def getScalaLocationShort: String
    Definition Classes
    ScalaLocated
  106. def getScalaTrace(): Throwable
    Definition Classes
    ScalaLocated
  107. def getSingleDriver: Option[SpinalEnumCraft.this.type]
    Definition Classes
    BaseType
  108. def getTag[T <: SpinalTag](clazz: Class[T]): Option[T]
    Definition Classes
    SpinalTagReady
  109. def getTags(): LinkedHashSet[SpinalTag]
    Definition Classes
    SpinalTagReady
  110. def getTypeObject: Any
    Definition Classes
    SpinalEnumCraftExpression
  111. def getZero: SpinalEnumCraft.this.type

    Create a data set to 0

    Create a data set to 0

    Definition Classes
    SpinalEnumCraftData
  112. val globalData: GlobalData
    Definition Classes
    GlobalDataUser
  113. def hasAssignement: Boolean
    Definition Classes
    BaseType
  114. def hasDataAssignment: Boolean
    Definition Classes
    BaseType
  115. def hasInit: Boolean

    Does the base type have initial value

    Does the base type have initial value

    Definition Classes
    BaseType
  116. def hasOnlyOneStatement: Boolean
  117. def hasTag[T <: SpinalTag](clazz: Class[T]): Boolean
    Definition Classes
    SpinalTagReady
  118. def hasTag(spinalTag: SpinalTag): Boolean
    Definition Classes
    SpinalTagReady
  119. def hashCode(): Int
    Definition Classes
    OverridedEqualsHashCode → AnyRef → Any
  120. def head: AssignmentStatement
  121. def init(enumElement: SpinalEnumElement[T]): SpinalEnumCraft.this.type
  122. def init(that: SpinalEnumCraft[T]): SpinalEnumCraft[T]

    Set initial value to a data

    Set initial value to a data

    Definition Classes
    DataPrimitives
  123. final def initFrom(that: AnyRef, target: AnyRef = this): Unit
    Definition Classes
    Data
  124. def initial(that: SpinalEnumCraft[T]): SpinalEnumCraft[T]
    Definition Classes
    BaseTypePrimitives
  125. def initialFrom(that: AnyRef, target: AnyRef = this): Unit
    Definition Classes
    BaseType
  126. def insertNext(s: Statement): Unit
    Definition Classes
    Statement
  127. def instanceAttributes(language: Language): Iterable[Attribute]
    Definition Classes
    SpinalTagReady
  128. def instanceAttributes: Iterable[Attribute]
    Definition Classes
    SpinalTagReady
  129. def isAnalog: Boolean
    Definition Classes
    BaseTypeData
  130. def isComb: Boolean
    Definition Classes
    BaseTypeData
  131. def isCompletelyUnnamed: Boolean
    Definition Classes
    Nameable
  132. def isDirectionLess: Boolean
    Definition Classes
    Data
  133. def isEmptyOfTag: Boolean
    Definition Classes
    SpinalTagReady
  134. def isEqualTo(that: Any): Bool
    Definition Classes
    SpinalEnumCraftData
  135. def isFrozen(): Boolean
    Definition Classes
    BaseType
  136. def isInOut: Boolean
    Definition Classes
    Data
  137. def isInput: Boolean
    Definition Classes
    Data
  138. def isInputOrInOut: Boolean
    Definition Classes
    Data
  139. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  140. def isNamed: Boolean
    Definition Classes
    NameableByComponentNameable
  141. def isNotEqualTo(that: Any): Bool
    Definition Classes
    SpinalEnumCraftData
  142. def isOutput: Boolean
    Definition Classes
    Data
  143. def isOutputOrInOut: Boolean
    Definition Classes
    Data
  144. def isPriorityApplicable(namePriority: Byte): Boolean
    Definition Classes
    Nameable
  145. def isReg: Boolean
    Definition Classes
    BaseTypeData
  146. def isRegOnAssign: Boolean
    Definition Classes
    Data
  147. def isTypeNode: Boolean

    Is the baseType a node

    Is the baseType a node

    Definition Classes
    BaseType
  148. def isUnnamed: Boolean
    Definition Classes
    Nameable
  149. def isUsingResetSignal: Boolean

    Is the basetype using reset signal

    Is the basetype using reset signal

    Definition Classes
    BaseType
  150. def isUsingSoftResetSignal: Boolean

    Is the basetype using soft reset signal

    Is the basetype using soft reset signal

    Definition Classes
    BaseType
  151. def isVital: Boolean

    Check if the baseType is vital

    Check if the baseType is vital

    Definition Classes
    BaseType
  152. val lastScopeStatement: Statement
    Definition Classes
    Statement
  153. def mux[T2 <: Data](mappings: (Any, T2)*): T2
    Definition Classes
    BaseType
  154. def muxDc[T2 <: Data](mappings: (Any, T2)*): T2
    Definition Classes
    BaseType
  155. def muxList[T2 <: Data](defaultValue: T2, mappings: Seq[(Any, T2)]): T2
    Definition Classes
    BaseType
  156. def muxList[T2 <: Data](mappings: Seq[(Any, T2)]): T2
    Definition Classes
    BaseType
  157. def muxListDc[T2 <: Data](mappings: Seq[(Any, T2)]): T2
    Definition Classes
    BaseType
  158. val name: String
    Definition Classes
    Nameable
  159. val nameableRef: Nameable
    Attributes
    protected
    Definition Classes
    Nameable
    Annotations
    @DontName()
  160. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  161. val nextScopeStatement: Statement
    Definition Classes
    Statement
  162. def noBackendCombMerge(): SpinalEnumCraft.this.type

    Put the combinatorial logic driving this signal in a separate process

    Put the combinatorial logic driving this signal in a separate process

    Definition Classes
    Data
  163. def noCombLoopCheck(): SpinalEnumCraft.this.type

    Disable combinatorial loop checking for this Data

    Disable combinatorial loop checking for this Data

    See https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Design%20errors/combinatorial_loop.html

    Definition Classes
    Data
  164. def normalizeInputs: Unit
    Definition Classes
    SpinalEnumCraftBaseTypeExpressionContainer
  165. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  166. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  167. def onEachAttributes(doIt: (Attribute) ⇒ Unit): Unit
    Definition Classes
    SpinalTagReady
  168. def opName: String
    Definition Classes
    SpinalEnumCraftExpression
  169. def overrideLocalName(name: String): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  170. val parent: Data
    Definition Classes
    Data
  171. val parentScope: ScopeStatement
    Definition Classes
    ContextUser
  172. def propagateEncoding: Boolean
    Definition Classes
    InferableEnumEncodingImplEnumEncoded
  173. def pull(propagateName: Boolean): SpinalEnumCraft.this.type
    Definition Classes
    Data
  174. def pull(): SpinalEnumCraft.this.type

    Pull a signal to the top level (use for debugging)

    Pull a signal to the top level (use for debugging)

    Definition Classes
    Data
  175. def purify(): SpinalEnumCraft.this.type
    Definition Classes
    Data
  176. def randBoot(u: Unit): SpinalEnumCraft.this.type

    Useful for register that doesn't need a reset value in RTL, but need a random value for simulation (avoid x-propagation)

    Useful for register that doesn't need a reset value in RTL, but need a random value for simulation (avoid x-propagation)

    Definition Classes
    Data
  177. val refOwner: RefOwnerType
    Definition Classes
    OwnableRef
    Annotations
    @DontName()
  178. def reflectNames(): Unit
    Definition Classes
    Nameable
  179. def remapDrivingExpressions(func: (Expression) ⇒ Expression): Unit
    Definition Classes
    ExpressionContainer
  180. def remapExpressions(func: (Expression) ⇒ Expression): Unit
  181. def removeAssignments(data: Boolean = true, init: Boolean = true, initial: Boolean = true): SpinalEnumCraft.this.type

    Remove all assignments of the base type

    Remove all assignments of the base type

    Definition Classes
    BaseTypeData
  182. def removeDataAssignments(): SpinalEnumCraft.this.type
    Definition Classes
    Data
  183. def removeInitAssignments(): SpinalEnumCraft.this.type
    Definition Classes
    Data
  184. def removeStatement(): Unit
    Definition Classes
    BaseTypeStatement
  185. def removeStatementFromScope(): Unit
    Definition Classes
    Statement
  186. def removeTag(spinalTag: SpinalTag): SpinalEnumCraft.this.type
    Definition Classes
    SpinalTagReady
  187. def removeTags(tags: Iterable[SpinalTag]): SpinalEnumCraft.this.type
    Definition Classes
    SpinalTagReady
  188. def resized: SpinalEnumCraft.this.type

    Resized data regarding target

    Resized data regarding target

    Definition Classes
    Data
  189. def rootIF(): Interface

    root interface

    root interface

    Definition Classes
    Data
  190. def rootIFList(): List[Interface]
    Definition Classes
    Data
  191. def rootIFrec(now: Data, lastRoot: List[Interface]): List[Interface]
    Definition Classes
    Data
  192. def rootScopeStatement: ScopeStatement
    Definition Classes
    BaseTypeStatement
  193. val scalaTrace: Throwable
    Definition Classes
    ScalaLocated
  194. def setAll(): SpinalEnumCraft.this.type
    Definition Classes
    Data
  195. def setAsAnalog(): SpinalEnumCraft.this.type
    Definition Classes
    BaseTypeData
  196. def setAsComb(): SpinalEnumCraft.this.type

    Set baseType to Combinatorial

    Set baseType to Combinatorial

    Definition Classes
    BaseTypeData
  197. def setAsDirectionLess(): SpinalEnumCraft.this.type

    remove the direction (in,out,inout) to a data

    remove the direction (in,out,inout) to a data

    Definition Classes
    BaseTypeData
  198. def setAsReg(): SpinalEnumCraft.this.type

    Set baseType to reg

    Set baseType to reg

    Definition Classes
    BaseTypeData
  199. def setAsTypeNode(): SpinalEnumCraft.this.type

    Set baseType to Node

    Set baseType to Node

    Definition Classes
    BaseType
  200. def setAsVital(): SpinalEnumCraft.this.type

    Set the baseType to vital

    Set the baseType to vital

    Definition Classes
    BaseType
  201. def setCompositeName(nameable: Nameable, postfix: String, namePriority: Byte): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  202. def setCompositeName(nameable: Nameable, postfix: String, weak: Boolean): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  203. def setCompositeName(nameable: Nameable, postfix: String): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  204. def setCompositeName(nameable: Nameable, namePriority: Byte): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  205. def setCompositeName(nameable: Nameable, weak: Boolean): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  206. def setCompositeName(nameable: Nameable): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  207. def setLambdaName(isNameBody: ⇒ Boolean)(nameGen: ⇒ String): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  208. def setName(name: String, namePriority: Byte): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  209. def setName(name: String, weak: Boolean): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  210. def setName(name: String): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  211. def setNameAsWeak(): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  212. def setOutputAsReg(): SpinalEnumCraft.this.type

    Recursively set baseType to reg only for output

    Recursively set baseType to reg only for output

    Definition Classes
    Data
  213. def setPartialName(name: String, namePriority: Byte, owner: Any): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  214. def setPartialName(name: String, namePriority: Byte): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  215. def setPartialName(name: String, weak: Boolean): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  216. def setPartialName(owner: Nameable, name: String, namePriority: Byte): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  217. def setPartialName(owner: Nameable, name: String, weak: Boolean): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  218. def setPartialName(name: String): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  219. def setPartialName(owner: Nameable, name: String): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  220. def setPartialName(owner: Nameable): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  221. def setRefOwner(that: Any): Unit
    Definition Classes
    OwnableRef
  222. def setScalaLocated(source: ScalaLocated): SpinalEnumCraft.this.type
    Definition Classes
    ScalaLocated
  223. def setWeakName(name: String): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  224. def simplifyNode: Expression
    Definition Classes
    Expression
  225. var spinalEnum: SpinalEnum
  226. def spinalTags: LinkedHashSet[SpinalTag]
    Definition Classes
    SpinalTagReady
  227. def stabilized(func: (Expression) ⇒ Expression, seed: Expression): Expression
    Definition Classes
    ExpressionContainer
  228. def swapEncoding(encoding: SpinalEnumEncoding): Unit
    Definition Classes
    InferableEnumEncodingImplEnumEncoded
  229. def swapEnum(e: SpinalEnum): Unit
    Definition Classes
    SpinalEnumCraftEnumEncoded
  230. def switchAssign[T2 <: BaseType](sel: T2)(mappings: (Any, SpinalEnumCraft[T])*): Unit
    Definition Classes
    DataPrimitives
  231. final def synchronized[T0](arg0: ⇒ T0): T0
    Definition Classes
    AnyRef
  232. def toIo(): SpinalEnumCraft.this.type
    Definition Classes
    Data
  233. def toMuxInput[T <: Data](muxOutput: T): T
    Definition Classes
    Data
  234. def toString(): String
    Definition Classes
    BaseTypeExpressionNameable → AnyRef → Any
  235. def toStringMultiLine(): String
    Definition Classes
    BaseNode
  236. def toStringRec(level: Int = 1): String
    Definition Classes
    Expression
  237. def unfreeze(): SpinalEnumCraft.this.type
    Definition Classes
    BaseTypeData
  238. def unsetName(): SpinalEnumCraft.this.type
    Definition Classes
    Nameable
  239. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  240. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native()
  241. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  242. def walkDrivingExpressions(func: (Expression) ⇒ Unit): Unit
    Definition Classes
    ExpressionContainer
  243. def walkExpression(func: (Expression) ⇒ Unit): Unit
    Definition Classes
    ExpressionContainer
  244. def walkExpressionPostorder(func: (Expression) ⇒ Unit): Unit
    Definition Classes
    ExpressionContainer
  245. def walkParentTreeStatements(func: (TreeStatement) ⇒ Unit): Unit
    Definition Classes
    Statement
  246. def walkParentTreeStatementsUntilRootScope(func: (TreeStatement) ⇒ Unit): Unit
    Definition Classes
    Statement
  247. def walkRemapDrivingExpressions(func: (Expression) ⇒ Expression): Unit
    Definition Classes
    ExpressionContainer
  248. def walkRemapExpressions(func: (Expression) ⇒ Expression): Unit
    Definition Classes
    ExpressionContainer
  249. def wrapCast[T <: BaseType](result: T, node: Cast): T
    Definition Classes
    BaseType
  250. def wrapNext(): SpinalEnumCraft.this.type
    Definition Classes
    Data

Deprecated Value Members

  1. def !==(that: SpinalEnumElement[T]): Bool
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use =/= instead

  2. def asDirectionLess(): SpinalEnumCraft.this.type
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version ???) use setAsDirectionLess instead

  3. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] ) @Deprecated
    Deprecated
  4. def genIf(cond: Boolean): SpinalEnumCraft.this.type

    Generate this if condition is true

    Generate this if condition is true

    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    does not work with <>, use 'someBool generate Type()' or 'if(condition) Type() else null' instead

Inherited from DataPrimitives[SpinalEnumCraft[T]]

Inherited from BaseTypePrimitives[SpinalEnumCraft[T]]

Inherited from InferableEnumEncodingImpl

Inherited from InferableEnumEncoding

Inherited from EnumEncoded

Inherited from BaseType

Inherited from Expression

Inherited from DeclarationStatement

Inherited from LeafStatement

Inherited from Statement

Inherited from BaseNode

Inherited from ExpressionContainer

Inherited from Data

Inherited from InComponent

Inherited from OverridedEqualsHashCode

Inherited from SpinalTagReady

Inherited from Assignable

Inherited from NameableByComponent

Inherited from Nameable

Inherited from OwnableRef

Inherited from ContextUser

Inherited from ScalaLocated

Inherited from GlobalDataUser

Inherited from AnyRef

Inherited from Any

Ungrouped