Packages

t

spinal.core

Stackable

trait Stackable extends AnyRef

Linear Supertypes
AnyRef, Any
Known Subclasses
BlackBox, BlackBoxULogic, Component, Ram_1w_1ra, Ram_1w_1rs, Ram_1wors, Ram_1wrs, Ram_2c_1w_1rs, Ram_2wrs, BufferCC, FlowCCUnsafeByToggle, HistoryModifyable, PulseCCByToggle, StreamArbiter, StreamCCByToggle, StreamDemux, StreamFifo, StreamFifoCC, StreamFifoLowLatency, StreamFifoMultiChannelSharedSpace, StreamFork, StreamHistory, StreamMux, StreamToStreamFragmentBits, StreamTransactionCounter, StreamTransactionExtender, Wrapper, sld_virtual_jtag, EG_LOGIC_BUFG, EG_LOGIC_ODDR, EG_PHY_BRAM, EG_PHY_BRAM32K, EG_PHY_SDRAM_2M_32, BB, DCCA, EHXPLLL, IDDRX1F, IFS1P3BX, JTAGG, ODDRX1F, OFS1P3BX, TSFF, Ulx3sUsrMclk, ICE40_PLL, SB_DFFR, SB_DFFS, SB_GB, SB_IO, SB_PLL40_CORE, SB_PLL40_PAD, SB_SPRAM256KA, BSCANE2, BUFG, BUFGCE, BUFIO, FDRE, IBUF, IBUFG, IDELAYCTRL, IDELAYE2, IOBUF, IOBUFDS, ISERDESE2, MMCME2_BASE, Mmcme2Ctrl, OBUFDS, ODELAYE2, OSERDESE2, PLLE2_BASE, STARTUPE2, AhbLite3Arbiter, AhbLite3Decoder, AhbLite3OnChipRam, AhbLite3OnChipRamMultiPort, AhbLite3OnChipRom, AhbLite3ToApb3Bridge, DefaultAhbLite3Slave, Apb3CC, Apb3CCToggle, Apb3Decoder, Apb3Dummy, Apb3Gpio, Apb3Router, Apb4Hub, Axi4CC, Axi4Downsizer, Axi4DownsizerSubTransactionGenerator, Axi4IdRemover, Axi4ReadOnlyAligner, Axi4ReadOnlyArbiter, Axi4ReadOnlyCC, Axi4ReadOnlyChecker, Axi4ReadOnlyCompactor, Axi4ReadOnlyDecoder, Axi4ReadOnlyDownsizer, Axi4ReadOnlyErrorSlave, Axi4ReadOnlyIdRemover, Axi4ReadOnlyOnePerId, Axi4ReadOnlyToTilelink, Axi4ReadOnlyToTilelinkFull, Axi4ReadOnlyUnburster, Axi4ReadOnlyUpsizer, Axi4SharedArbiter, Axi4SharedCC, Axi4SharedChecker, Axi4SharedDecoder, Axi4SharedErrorSlave, Axi4SharedIdRemover, Axi4SharedOnChipRam, Axi4SharedOnChipRamMultiPort, Axi4SharedToApb3Bridge, Axi4SharedToAxi3Shared, Axi4SharedToBram, Axi4Upsizer, Axi4WriteOnlyAligner, Axi4WriteOnlyArbiter, Axi4WriteOnlyCC, Axi4WriteOnlyCompactor, Axi4WriteOnlyDecoder, Axi4WriteOnlyDownsizer, Axi4WriteOnlyErrorSlave, Axi4WriteOnlyIdRemover, Axi4WriteOnlyOnePerId, Axi4WriteOnlyToTilelink, Axi4WriteOnlyToTilelinkFull, Axi4WriteOnlyUnburster, Axi4WriteOnlyUpsizer, UnbursterIDManager, AxiLite4SimpleReadDma, Axi4StreamSimpleWidthAdapter, Axi4StreamSparseCompactor, Axi4StreamWidthAdapter, Axi4StreamWidthAdapter_8_8, AvalonReadDma, AvalonSTDelayAdapter, Axi4SharedToBmb, BmbAlignedSpliter, BmbAligner, BmbArbiter, BmbCcFifo, BmbCcToggle, BmbContextRemover, BmbDecoder, BmbDecoderOutOfOrder, BmbDecoderPerSource, BmbDownSizerBridge, BmbEg4S20Bram32K, BmbErrorSlave, BmbExclusiveMonitor, BmbIce40Spram, BmbInvalidateMonitor, BmbInvalidationArbiter, BmbLengthFixer, BmbOnChipRam, BmbOnChipRamMultiPort, BmbSourceDecoder, BmbSourceRemover, BmbSyncRemover, BmbToApb3Bridge, BmbToAxi4ReadOnlyBridge, BmbToAxi4SharedBridge, BmbToAxi4SharedBridgeAssumeInOrder, BmbToAxi4WriteOnlyBridge, BmbToWishbone, BmbUnburstify, BmbUpSizerBridge, BmbWriteRetainer, BRAMDecoder, BsbDownSizerAlignedMultiWidth, BsbDownSizerSparse, BsbUpSizerDense, BsbUpSizerSparse, PipelinedMemoryBusArbiter, PipelinedMemoryBusDecoder, PipelinedMemoryBusToApbBridge, Arbiter, Axi4Bridge, AxiLite4Bridge, ContextAsyncBufferBase, ContextAsyncBufferFull, Decoder, ErrorSlave, FifoCc, Ram, TransferFilter, WidthAdapter, Cache, Hub, WishboneAdapter, WishboneArbiter, WishboneDecoder, WishboneGpio, WishboneToBmb, BmbMacEth, Crc, MacEth, MacRxAligner, MacRxBuffer, MacRxChecker, MacRxPreamble, MacTxAligner, MacTxBuffer, MacTxCrc, MacTxHeader, MacTxInterFrame, MacTxManagedStreamFifoCc, MacTxPadder, Apb3I2cCtrl, BmbI2cCtrl, I2cSlave, SimpleJtagTap, VJtag2BmbMaster, SimpleJtagTap, Bscane2BmbMaster, Decoder, Encoder, Apb3SpiMasterCtrl, Apb3SpiSlaveCtrl, SpiMasterCtrl, SpiSlaveCtrl, WishboneSpiMasterCtrl, WishboneSpiSlaveCtrl, Apb3SpiXdrMasterCtrl, BmbSpiXdrMasterCtrl, TopLevel, Apb3UartCtrl, AvalonMMUartCtrl, BmbUartCtrl, TilelinkUartCtrl, UartCtrl, UartCtrlRx, UartCtrlTx, UartCtrlUsageExample, WishboneUartCtrl, UsbOhci, UsbOhciAxi4, UsbOhciWishbone, UsbDevicePhyNative, CtrlCc, UsbLsFsPhy, UsbLsFsPhyFilter, UsbDeviceCtrl, PhyCc, UsbDeviceWithPhyWishbone, DebugModule, DebugTransportModuleJtagTap, DebugTransportModuleJtagTapWithTunnel, DebugTransportModuleTunneled, Alu, DataCache, InstructionCache, TopLevel, RiscvCore, TopLevel, TopLevel, RiscvAhbLite3, RiscvAvalon, RiscvAxi4, alt_inbuf, alt_inbuf_diff, alt_outbuf, alt_outbuf_diff, alt_outbuf_tri, alt_outbuf_tri_diff, Block, SblReadDma, Module, SerialCheckerPhysicalToSerial, SerialCheckerPhysicalfromSerial, SerialCheckerRx, SerialCheckerTx, SerialLinkRx, SerialLinkTx, SerialSafeLayerTx, SerialSafelLayerRx, LargeExample, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, GeneratorComponent, GeneratorComponent, VideoDma, TmdsEncoder, VgaToHdmiEcp5, AvalonMMVgaCtrl, Axi4VgaCtrl, BlinkingVgaCtrl, BmbVgaCtrl, VgaCtrl, Apb3Gpio2, BmbGpio2, Ctrl, MixedDivider, SignedDivider, UnsignedDivider, Axi4SharedSdramCtrl, BmbSdramCtrl, SdramCtrl, Backend, BmbAdapter, BmbToCorePort, Core, CtrlWithoutPhy, CtrlWithoutPhyBmb, Refresher, Tasker, TimingEnforcer, mt41k128m16jt_model, mt48lc16m16a2_model, Ecp5Sdrx2Phy, PLLE2_ADV, RtlPhy, SdrInferedPhy, XilinxS7Phy, Apb3Clint, Apb3InterruptCtrl, AxiLite4Clint, BmbClint, InterruptCtrl, MachineTimer, MappedClint, Prescaler, TilelinkClint, Timer, WishboneClint, BmbBsbToDeltaSigma, BsbToDeltaSigma, SIntToSigmaDeltaSecondOrder, UIntToSigmaDeltaFirstOrder, PDMCore, AxiLite4Plic, MappedPlic, TilelinkPlic, WishbonePlic, PipelineTop, Pinsec, PinsecTimerCtrl, JtagAvalonDebugger, JtagAxi4SharedDebugger, JtagBridge, JtagBridgeNoTap, SystemDebugger, VJtagBridge, DmaMemoryCore, Aggregator, Core, FlowCCByToggle, StreamDispatcherSequencial
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. Stackable
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##(): Int
    Definition Classes
    AnyRef → Any
  3. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  4. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  5. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native() @HotSpotIntrinsicCandidate()
  6. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  7. def equals(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  8. final def getClass(): Class[_]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  9. def hashCode(): Int
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  10. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  11. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  12. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  13. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  14. def postPopEvent(): Unit
  15. def postPushEvent(): Unit
  16. def prePopEvent(): Unit
  17. final def synchronized[T0](arg0: ⇒ T0): T0
    Definition Classes
    AnyRef
  18. def toString(): String
    Definition Classes
    AnyRef → Any
  19. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  20. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native()
  21. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )

Deprecated Value Members

  1. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] ) @Deprecated
    Deprecated

Inherited from AnyRef

Inherited from Any

Ungrouped