Packages

t

spinal.core

NameableByComponent

trait NameableByComponent extends Nameable with GlobalDataUser

Linear Supertypes
Known Subclasses
AFix, Area, AreaObject, AreaRoot, BaseType, BitVector, Bits, BlackBox, BlackBoxULogic, Bool, BoolEdges, Bundle, BundleCase, ClockEnableArea, ClockingArea, Component, Composite, Data, DataWrapper, HardMap, ImplicitArea, Interface, MemWritePayload, MultiData, Ram_1w_1ra, Ram_1w_1rs, Ram_1wors, Ram_1wrs, Ram_2c_1w_1rs, Ram_2wrs, ResetArea, SFix, SFix2D, SInt, SlowArea, SpinalEnumCraft, SpinalStruct, TupleBundle1, TupleBundle10, TupleBundle11, TupleBundle12, TupleBundle13, TupleBundle14, TupleBundle15, TupleBundle16, TupleBundle17, TupleBundle18, TupleBundle19, TupleBundle2, TupleBundle20, TupleBundle21, TupleBundle22, TupleBundle3, TupleBundle4, TupleBundle5, TupleBundle6, TupleBundle7, TupleBundle8, TupleBundle9, TupleBundleBase, UFix, UFix2D, UInt, UInt2D, Union, Vec, XFix, Fiber, Fence, Lockable, RetainerGroup, BufferCC, Counter, CounterUpDown, DataOr, Flow, FlowCCUnsafeByToggle, FlowCmdRsp, Fragment, HistoryModifyable, MemReadPort, MemReadPortAsync, MemReadStreamFlowPort, MemReadWritePort, MemWriteCmd, MemWriteCmdWithMask, NoData, PackedBundle, PackedWordBundle, PulseCCByToggle, ReadRetLinked, ResetAggregator, ResetCtrlFiber, ResetHolder, Stream, StreamArbiter, StreamCCByToggle, StreamDemux, StreamFifo, CounterUpDownFmax, StreamFifoCC, StreamFifoLowLatency, StreamFifoMultiChannelPop, StreamFifoMultiChannelPush, StreamFifoMultiChannelSharedSpace, StreamFlowArbiter, StreamFork, StreamForkArea, StreamFragmentBitsDispatcher, StreamHistory, StreamMux, StreamPacker, StreamToStreamFragmentBits, StreamTransactionCounter, StreamTransactionExtender, StreamUnpacker, Timeout, Wrapper, VJTAG, sld_virtual_jtag, EG_LOGIC_BUFG, EG_LOGIC_ODDR, EG_PHY_BRAM, EG_PHY_BRAM32K, EG_PHY_SDRAM_2M_32, BB, DCCA, EHXPLLL, IDDRX1F, IFS1P3BX, JTAGG, JtaggIo, ODDRX1F, OFS1P3BX, TSFF, Ulx3sUsrMclk, ICE40_PLL, SB_DFFR, SB_DFFS, SB_GB, SB_IO, SB_PLL40_CORE, SB_PLL40_PAD, SB_SPRAM256KA, BSCANE2, BUFG, BUFGCE, BUFIO, FDRE, IBUF, IBUFG, IDELAYCTRL, IDELAYE2, IOBUF, IOBUFDS, ISERDESE2, MMCME2_BASE, Mmcme2Ctrl, Mmcme2CtrlGenerator, Mmcme2Dbus, OBUFDS, ODELAYE2, OSERDESE2, PLLE2_BASE, STARTUPE2, AhbLite3, AhbLite3Arbiter, AhbLite3Decoder, AhbLite3Master, AhbLite3OnChipRam, AhbLite3OnChipRamMultiPort, AhbLite3OnChipRom, AhbLite3SlaveFactory, AhbLite3ToApb3Bridge, DefaultAhbLite3Slave, Apb3, Apb3CC, Cmd, Rsp, Apb3CCToggle, Apb3Decoder, Apb3Dummy, Apb3Gpio, Apb3Router, Apb3SlaveFactory, Apb4, Apb4Hub, Apb4SlaveFactory, Axi4, Axi4Ar, Axi4ArUnburstified, Axi4Arw, Axi4ArwUnburstified, Axi4Aw, Axi4AwUnburstified, Axi4Ax, Axi4AxUnburstified, Axi4B, Axi4CC, Axi4Downsizer, Axi4DownsizerSubTransactionGenerator, Axi4IdRemover, Axi4R, Axi4ReadOnly, Axi4ReadOnlyAligner, Context, Axi4ReadOnlyArbiter, Axi4ReadOnlyCC, Axi4ReadOnlyChecker, Axi4ReadOnlyCompactor, Context, Axi4ReadOnlyDecoder, Axi4ReadOnlyDownsizer, Axi4ReadOnlyErrorSlave, Axi4ReadOnlyIdRemover, Axi4ReadOnlyOnePerId, Axi4ReadOnlyToTilelink, Axi4ReadOnlyToTilelinkFull, Axi4ReadOnlyUnburster, Axi4ReadOnlyUpsizer, RspContext, Axi4Shared, Axi4SharedArbiter, Axi4SharedCC, Axi4SharedChecker, Axi4SharedDecoder, Axi4SharedErrorSlave, Axi4SharedIdRemover, Axi4SharedOnChipRam, Axi4SharedOnChipRamMultiPort, Axi4SharedOnChipRamPort, Axi4SharedToApb3Bridge, Axi4SharedToAxi3Shared, Axi4SharedToBram, Axi4SlaveFactory, Axi4ToTilelinkFiber, Axi4Upsizer, Axi4W, Axi4WriteOnly, Axi4WriteOnlyAligner, Context, WCmd, Axi4WriteOnlyArbiter, Axi4WriteOnlyCC, Axi4WriteOnlyCompactor, Context, Axi4WriteOnlyDecoder, Axi4WriteOnlyDownsizer, Axi4WriteOnlyErrorSlave, Axi4WriteOnlyIdRemover, Axi4WriteOnlyOnePerId, Axi4WriteOnlyToTilelink, Axi4WriteOnlyToTilelinkFull, Axi4WriteOnlyUnburster, Axi4WriteOnlyUpsizer, FormalAxi4Record, UnbursterIDManager, IdLen, IdResp, AxiLite4, AxiLite4Ax, AxiLite4B, AxiLite4R, AxiLite4ReadOnly, AxiLite4SimpleReadDma, AxiLite4SimpleReadDmaCmd, AxiLite4SlaveFactory, AxiLite4W, AxiLite4WriteOnly, Axi4StreamBundle, Axi4StreamSimpleWidthAdapter, Axi4StreamSparseCompactor, Axi4StreamWidthAdapter, Axi4StreamWidthAdapter_8_8, AvalonMM, AvalonMMSlaveFactory, AvalonReadDma, AvalonReadDmaCmd, AvalonST, AvalonSTDelayAdapter, AvalonSTPayload, Axi4SharedToBmb, Bmb, BmbAck, BmbAlignedSpliter, Context, BmbAligner, BmbArbiter, BmbBridgeGenerator, BmbCcFifo, BmbCcToggle, BmbClintGenerator, BmbCmd, BmbContextRemover, Ctx, BmbDecoder, BmbDecoderOutOfOrder, SourceHistory, BmbDecoderPerSource, BmbDownSizerBridge, OutputContext, BmbEg4S20Bram32K, BmbErrorSlave, BmbExclusiveMonitor, BmbExclusiveMonitorGenerator, BmbIce40Spram, BmbInterconnectGenerator, ConnectionModel, MasterModel, SlaveModel, BmbInv, BmbInvalidateMonitor, Context, BmbInvalidateMonitorGenerator, BmbInvalidationArbiter, BmbLengthFixer, Context, BmbOnChipRam, BmbOnChipRamMultiPort, BmbPlicGenerator, BmbRsp, BmbSlaveFactory, BmbSourceDecoder, BmbSourceRemover, Context, BmbSync, BmbSyncRemover, Context, BmbToApb3Bridge, BmbToApb3Generator, BmbToAxi4ReadOnlyBridge, BmbToAxi4SharedBridge, Info, BmbToAxi4SharedBridgeAssumeInOrder, Info, BmbToAxi4WriteOnlyBridge, BmbToWishbone, BmbUnburstify, Context, BmbUpSizerBridge, OutputContext, BmbWriteRetainer, BRAM, BRAMDecoder, BRAMSlaveFactory, BsbDownSizerAlignedMultiWidth, BsbDownSizerSparse, BsbInterconnectGenerator, ConnectionModel, MasterModel, SlaveModel, BsbTransaction, BsbUpSizerDense, BsbUpSizerSparse, MappedConnection, NegotiateSP, Node, BusSlaveFactory, BusSlaveFactoryAddressWrapper, BusSlaveFactoryDelayed, AhbLite3BusInterface, Apb3BusInterface, Apb4BusInterface, AxiLite4BusInterface, BRAMBusInterface, BusIf, BusIfBase, WishboneBusInterface, AsyncMemoryBus, AsyncMemoryBusFactory, PipelinedMemoryBus, PipelinedMemoryBusArbiter, PipelinedMemoryBusCmd, PipelinedMemoryBusDecoder, PipelinedMemoryBusRsp, PipelinedMemoryBusSlaveFactory, PipelinedMemoryBusToApbBridge, Arbiter, Axi4Bridge, AxiLite4Bridge, Bus, BusFragment, ChannelA, ChannelB, ChannelC, ChannelD, ChannelE, ContextAsyncBufferBase, ContextAsyncBufferFull, ContextBufferAdd, ContextBufferQuery, ContextBufferRemove, Decoder, ErrorSlave, FifoCc, Opcode, Ram, ScopeFiber, SlaveFactory, TransferFilter, WidthAdapter, ChannelDownSizer, ChannelUpSizer, Cache, Cache, CtrlCmd, CtxDownD, GeneralSlot, LineCtrl, ProberCmd, ProberSlot, PutMergeCmd, ReadBackendCmd, ReadDownCmd, Slot, SlotPool, Tags, WriteBackendCmd, CacheFiber, ChannelDataBuffer, DataPayload, Hub, CtxA, CtxC, DataPayload, ProbeCmd, ProbeCtx, ProbeCtxFull, HubFiber, OrderingCmd, Axi4Bridge, AxiLite4Bridge, Connection, ConnectionRaw, Interleaver, MasterBus, Node, NodeM2s, NodeRaw, NodeS2m, NodeUpDown, RamFiber, SlaveBus, SlaveBusAny, TransferFilter, WidthAdapter, TilelinkTestbenchBase, Wishbone, WishboneAdapter, WishboneArbiter, WishboneDecoder, WishboneGpio, WishboneSlaveFactory, WishboneToBmb, WishboneToBmbGenerator, BmbMacEth, Crc, MacEth, MacEthCtrl, MacRxAligner, MacRxBuffer, MacRxChecker, MacRxPreamble, MacTxAligner, MacTxBuffer, MacTxCrc, MacTxHeader, MacTxInterFrame, MacTxManagedStreamFifoCc, MacTxPadder, Mdio, Mii, MiiRx, MiiTx, PhyIo, PhyRx, PhyTx, Rmii, RmiiRx, RmiiTx, Apb3I2cCtrl, BmbI2cCtrl, I2c, I2cAddress, I2cIoFilter, I2cSlave, I2cSlaveBus, I2cSlaveCmd, I2cSlaveConfig, I2cSlaveIo, I2cSlaveRsp, I2cSoftMaster, Jtag, JtagFsm, JtagInstructionDebuggerGenerator, JtagInstructionWrapper, JtagTap, JtagTapDebuggerGenerator, JtagTapInstructionCtrl, JtagTapInstructionFlowFragmentPush, JtagTapInstructionIdcode, JtagTapInstructionRead, JtagTapInstructionReadWrite, JtagTapInstructionWrite, SimpleJtagTap, VJtag2BmbMaster, VJtag2BmbMasterGenerator, VjtagTap, JtagTap, JtagTapInstructionFlowFragmentPush, JtagTapInstructionRead, JtagTapInstructionReadWrite, JtagTapInstructionWrite, JtaggShifter, SimpleJtagTap, Bscane2BmbMaster, Bscane2BmbMasterGenerator, Decoder, Encoder, Sio, Apb3SpiMasterCtrl, Apb3SpiSlaveCtrl, SpiHalfDuplexMaster, SpiKind, SpiMaster, SpiMasterCmd, SpiMasterCtrl, SpiMasterCtrlCmdData, SpiMasterCtrlCmdSs, SpiMasterCtrlConfig, SpiSlave, SpiSlaveCtrl, SpiSlaveCtrlIo, WishboneSpiMasterCtrl, WishboneSpiSlaveCtrl, Apb3SpiXdrMasterCtrl, BmbSpiXdrMasterCtrl, SpiXdrMaster, SpiIce40, Cmd, Config, Rsp, TopLevel, XipBus, XipCmd, XdrOutput, XdrPin, Apb3UartCtrl, AvalonMMUartCtrl, BmbUartCtrl, TilelinkUartCtrl, TilelinkUartFiber, Uart, UartCtrl, UartCtrlConfig, UartCtrlFrameConfig, UartCtrlIo, UartCtrlRx, UartCtrlTx, UartCtrlUsageExample, WishboneUartCtrl, UsbDataRxFsm, UsbDataTxFsm, UsbTimer, UsbTokenRxFsm, UsbTokenTxFsm, UsbOhci, UsbOhciAxi4, UsbOhciGenerator, UsbOhciWishbone, UsbDevicePhyNative, UsbHostManagementIo, Ctrl, CtrlCc, CtrlPort, CtrlRx, CtrlRxPayload, UsbLsFsPhy, Timeout, UsbLsFsPhyAbstractIo, UsbLsFsPhyFilter, UsbPhyFsNativeIo, UsbDeviceBmbGenerator, UsbDeviceCtrl, PhyCc, PhyIo, Rx, Tx, UsbDeviceWithPhyWishbone, DebugBus, DebugBusSlaveFactory, DebugCapture, DebugCmd, DebugDmToHart, DebugHartBus, DebugHartToDm, DebugModule, DebugModuleFiber, DebugRsp, DebugTransportModuleJtag, DebugTransportModuleJtagTap, DebugTransportModuleJtagTapWithTunnel, DebugTransportModuleTunneled, DebugUpdate, JtagTunnel, Alu, BranchPredictorLine, CoreDataBus, CoreDataCmd, CoreDecodeOutput, CoreExecute0Output, CoreExecute1Output, CoreFetchOutput, CoreInstructionBus, CoreInstructionCmd, CoreInstructionRsp, CoreWriteBack0Output, DataCache, LineInfo, DataCacheCpuBus, DataCacheCpuCmd, DataCacheCpuRsp, DataCacheMemBus, DataCacheMemCmd, DataCacheMemRsp, InstructionCache, LineInfo, InstructionCacheCpuBus, InstructionCacheCpuCmd, InstructionCacheCpuRsp, InstructionCacheFlushBus, TopLevel, InstructionCacheMemBus, InstructionCacheMemCmd, InstructionCacheMemRsp, RiscvCore, IMM, InstructionCtrl, TopLevel, TopLevel, RiscvAhbLite3, RiscvAvalon, RiscvAxi4, DebugExtensionBus, DebugExtensionCmd, DebugExtensionIo, DebugExtensionRsp, alt_inbuf, alt_inbuf_diff, alt_outbuf, alt_outbuf_diff, alt_outbuf_tri, alt_outbuf_tri_diff, ApbCmd, Block, Ctrl, CtrlCmd, Mem, MemCmd, SblCmd, SblReadCmd, SblReadDma, SblReadDmaCmd, SblReadRet, SblWriteCmd, Module, SerialCheckerPhysical, SerialCheckerPhysicalToSerial, SerialCheckerPhysicalfromSerial, SerialCheckerRx, SerialCheckerTx, SerialLinkRx, SerialLinkRxToTx, SerialLinkTx, SerialSafeLayerTx, SerialSafelLayerRx, Floating, FloatingCompareResult, RecFloating, State, StateBoot, StateDelay, StateFsm, StateMachine, LargeExample, TopLevel, TopLevel, TopLevel, TopLevel, StateMachineSlave, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, TopLevel, StateParallelFsm, Arty7BufgGenerator, ClockDomainResetGenerator, ResetGenerator, ClockDomainResetGeneratorIf, ClockDomainResetGeneratorV2, Generator, GeneratorComponent, Arty7BufgGenerator, ClockDomainResetGenerator, ResetGenerator, Generator, GeneratorComponent, Rgb, VideoDma, VideoDmaMem, TmdsEncoder, VgaToHdmiEcp5, AvalonMMVgaCtrl, Axi4VgaCtrl, BlinkingVgaCtrl, BmbVgaCtrl, BmbVgaCtrlGenerator, Vga, VgaCtrl, HVArea, VgaTimings, VgaTimingsHV, Apb3Gpio2, BmbGpio2, Ctrl, InOutVecToBits, D, E, ReadableOpenDrain, TriState, TriStateArray, TriStateOutput, MixedDivider, MixedDividerCmd, MixedDividerRsp, SignedDivider, SignedDividerCmd, SignedDividerRsp, UnsignedDivider, UnsignedDividerCmd, UnsignedDividerRsp, Dfi, DfiCATrainingInterface, DfiControlInterface, DfiErrorInterface, DfiLevelingTraingInterface, DfiLowPowerControlInterface, DfiPhyRequesetedTrainingInterface, DfiRd, DfiRdCs, DfiReadInterface, DfiReadTrainingInterface, DfiStatusInterface, DfiUpdateInterface, DfiWr, DfiWriteInterface, DfiWriteTrainingInterface, Axi4SharedSdramCtrl, BmbSdramCtrl, Context, SdramCtrl, SdramCtrlAxi4SharedContext, SdramCtrlBackendCmd, SdramCtrlBank, SdramCtrlBus, SdramCtrlCmd, SdramCtrlRsp, SdramInterface, Backend, PipelineCmd, PipelineRsp, BmbAdapter, BmbToCorePort, Context, Core, CoreCmd, CoreConfig, CorePort, CoreRsp, CoreTask, CoreTasks, CoreWriteData, CtrlWithoutPhy, CtrlWithoutPhyBmb, InitCmd, Refresher, SdramAddress, SdramXdrIo, SdramXdrPhyCtrl, SdramXdrPhyCtrlPhase, SoftBus, Tasker, Status, Task, TimingEnforcer, mt41k128m16jt_model, mt48lc16m16a2_model, Ecp5Sdrx2Phy, PLLE2_ADV, RtlPhy, Address, RtlPhyInterface, RtlPhyWriteCmd, SdrInferedPhy, XilinxS7Phy, Apb3Clint, Apb3InterruptCtrl, AxiLite4Clint, BmbClint, Clint, ClintPort, InterruptCtrl, InterruptNode, MachineTimer, MappedClint, Plru, Prescaler, TilelinkClint, TilelinkClintFiber, Timer, WishboneClint, BmbBsbToDeltaSigma, BmbBsbToDeltaSigmaGenerator, BsbToDeltaSigma, SIntToSigmaDeltaSecondOrder, UIntToSigmaDeltaFirstOrder, PDMCore, CtrlLink, Area, CtrlLinkMirror, DirectLink, ForkLink, JoinLink, Link, Node, Area, Node, S2MLink, StageLink, AxiLite4Plic, MappedPlic, PlicGateway, PlicGatewayActiveHigh, PlicTarget, Request, TilelinkPlic, TilelinkPlicFiber, WishbonePlic, FiberPlugin, Pipeline, PipelineTop, Stage, Pinsec, PinsecTimerCtrl, PinsecTimerCtrlExternal, JtagAvalonDebugger, JtagAxi4SharedDebugger, JtagBridge, JtagBridgeNoTap, SystemDebugger, SystemDebuggerMemBus, SystemDebuggerMemCmd, SystemDebuggerRemoteBus, SystemDebuggerRsp, VJtagBridge, DmaMemoryCore, BankWord, DmaMemoryCoreReadBus, DmaMemoryCoreReadCmd, DmaMemoryCoreReadRsp, DmaMemoryCoreWriteBus, DmaMemoryCoreWriteCmd, DmaMemoryCoreWriteRsp, Aggregator, AggregatorCmd, AggregatorRsp, ChannelIo, Core, ArbiterLogic, B2sReadContext, ChannelLogic, InputContext, Interrupt, M2bWriteContext, ReadContext, SgReadContext, SgWriteContext, WriteContext, SgBus, SgCmd, SgRsp, DmaSgGenerator, ChannelModel, InputModel, OutputModel, FlowCCByToggle, StreamDispatcherSequencial
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. NameableByComponent
  2. Nameable
  3. ContextUser
  4. ScalaLocated
  5. GlobalDataUser
  6. OwnableRef
  7. AnyRef
  8. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. abstract type RefOwnerType
    Definition Classes
    OwnableRef

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##(): Int
    Definition Classes
    AnyRef → Any
  3. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  4. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  5. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native() @HotSpotIntrinsicCandidate()
  6. def component: Component
    Definition Classes
    ContextUser
  7. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  8. def equals(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  9. def foreachReflectableNameables(doThat: (Any) ⇒ Unit): Unit
    Definition Classes
    Nameable
  10. final def getClass(): Class[_]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  11. def getDisplayName(): String
    Definition Classes
    Nameable
  12. def getInstanceCounter: Int
    Definition Classes
    ContextUser
  13. def getMode: Byte
    Attributes
    protected
    Definition Classes
    Nameable
  14. def getName(default: String): String
    Definition Classes
    NameableByComponentNameable
  15. def getName(): String
    Definition Classes
    NameableByComponentNameable
  16. def getPartialName(): String
    Definition Classes
    Nameable
  17. def getPath(from: Component, to: Component): Seq[Component]
  18. def getRefOwnersChain(): List[Any]
    Definition Classes
    OwnableRef
  19. def getScalaLocationLong: String
    Definition Classes
    ScalaLocated
  20. def getScalaLocationShort: String
    Definition Classes
    ScalaLocated
  21. def getScalaTrace(): Throwable
    Definition Classes
    ScalaLocated
  22. val globalData: GlobalData
    Definition Classes
    GlobalDataUser
  23. def hashCode(): Int
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  24. def isCompletelyUnnamed: Boolean
    Definition Classes
    Nameable
  25. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  26. def isNamed: Boolean
    Definition Classes
    NameableByComponentNameable
  27. def isPriorityApplicable(namePriority: Byte): Boolean
    Definition Classes
    Nameable
  28. def isUnnamed: Boolean
    Definition Classes
    Nameable
  29. val name: String
    Definition Classes
    Nameable
  30. val nameableRef: Nameable
    Attributes
    protected
    Definition Classes
    Nameable
    Annotations
    @DontName()
  31. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  32. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  33. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  34. def overrideLocalName(name: String): NameableByComponent.this.type
    Definition Classes
    Nameable
  35. val parentScope: ScopeStatement
    Definition Classes
    ContextUser
  36. val refOwner: RefOwnerType
    Definition Classes
    OwnableRef
    Annotations
    @DontName()
  37. def reflectNames(): Unit
    Definition Classes
    Nameable
  38. val scalaTrace: Throwable
    Definition Classes
    ScalaLocated
  39. def setCompositeName(nameable: Nameable, postfix: String, namePriority: Byte): NameableByComponent.this.type
    Definition Classes
    Nameable
  40. def setCompositeName(nameable: Nameable, postfix: String, weak: Boolean): NameableByComponent.this.type
    Definition Classes
    Nameable
  41. def setCompositeName(nameable: Nameable, postfix: String): NameableByComponent.this.type
    Definition Classes
    Nameable
  42. def setCompositeName(nameable: Nameable, namePriority: Byte): NameableByComponent.this.type
    Definition Classes
    Nameable
  43. def setCompositeName(nameable: Nameable, weak: Boolean): NameableByComponent.this.type
    Definition Classes
    Nameable
  44. def setCompositeName(nameable: Nameable): NameableByComponent.this.type
    Definition Classes
    Nameable
  45. def setLambdaName(isNameBody: ⇒ Boolean)(nameGen: ⇒ String): NameableByComponent.this.type
    Definition Classes
    Nameable
  46. def setName(name: String, namePriority: Byte): NameableByComponent.this.type
    Definition Classes
    Nameable
  47. def setName(name: String, weak: Boolean): NameableByComponent.this.type
    Definition Classes
    Nameable
  48. def setName(name: String): NameableByComponent.this.type
    Definition Classes
    Nameable
  49. def setNameAsWeak(): NameableByComponent.this.type
    Definition Classes
    Nameable
  50. def setPartialName(name: String, namePriority: Byte, owner: Any): NameableByComponent.this.type
    Definition Classes
    Nameable
  51. def setPartialName(name: String, namePriority: Byte): NameableByComponent.this.type
    Definition Classes
    Nameable
  52. def setPartialName(name: String, weak: Boolean): NameableByComponent.this.type
    Definition Classes
    Nameable
  53. def setPartialName(owner: Nameable, name: String, namePriority: Byte): NameableByComponent.this.type
    Definition Classes
    Nameable
  54. def setPartialName(owner: Nameable, name: String, weak: Boolean): NameableByComponent.this.type
    Definition Classes
    Nameable
  55. def setPartialName(name: String): NameableByComponent.this.type
    Definition Classes
    Nameable
  56. def setPartialName(owner: Nameable, name: String): NameableByComponent.this.type
    Definition Classes
    Nameable
  57. def setPartialName(owner: Nameable): NameableByComponent.this.type
    Definition Classes
    Nameable
  58. def setRefOwner(that: Any): Unit
    Definition Classes
    OwnableRef
  59. def setScalaLocated(source: ScalaLocated): NameableByComponent.this.type
    Definition Classes
    ScalaLocated
  60. def setWeakName(name: String): NameableByComponent.this.type
    Definition Classes
    Nameable
  61. final def synchronized[T0](arg0: ⇒ T0): T0
    Definition Classes
    AnyRef
  62. def toString(): String
    Definition Classes
    Nameable → AnyRef → Any
  63. def unsetName(): NameableByComponent.this.type
    Definition Classes
    Nameable
  64. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  65. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native()
  66. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )

Deprecated Value Members

  1. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] ) @Deprecated
    Deprecated

Inherited from Nameable

Inherited from ContextUser

Inherited from ScalaLocated

Inherited from GlobalDataUser

Inherited from OwnableRef

Inherited from AnyRef

Inherited from Any

Ungrouped